Constant Field Values


Contents
dioscuri.*

dioscuri.Constants
public static final java.lang.String EMULATOR_CREATOR "Koninklijke Bibliotheek (KB), Nationaal Archief of the Netherlands, Planets, KEEP"
public static final java.lang.String EMULATOR_DATE "January, 2011"
public static final java.lang.String EMULATOR_NAME "Dioscuri - modular emulator for digital preservation"
public static final java.lang.String EMULATOR_VERSION "0.7.0"

dioscuri.Emulator
protected static final int CMD_DEBUG 4
protected static final int CMD_DEBUG_DUMP 17
protected static final int CMD_DEBUG_ENTER 18
protected static final int CMD_DEBUG_HELP 3
protected static final int CMD_DEBUG_MEM_DUMP 21
protected static final int CMD_DEBUG_SHOWREG 20
protected static final int CMD_DEBUG_STEP 16
protected static final int CMD_DEBUG_STOP 19
protected static final int CMD_LOAD_DATA 8
protected static final int CMD_LOAD_MODULES 7
protected static final int CMD_LOGGING 5
protected static final int CMD_LOGTOFILE 9
protected static final int CMD_MISMATCH 255
protected static final int CMD_OBSERVE 6
protected static final int CMD_RESET 2
protected static final int CMD_START 0
protected static final int CMD_STOP 1
public static final int MODULE_ATA_HD1_TRANSFER_START 2
public static final int MODULE_ATA_HD1_TRANSFER_STOP 3
public static final int MODULE_ATA_HD2_TRANSFER_START 10
public static final int MODULE_ATA_HD2_TRANSFER_STOP 11
public static final int MODULE_FDC_TRANSFER_START 0
public static final int MODULE_FDC_TRANSFER_STOP 1
public static final int MODULE_KEYBOARD_CAPSLOCK_OFF 7
public static final int MODULE_KEYBOARD_CAPSLOCK_ON 6
public static final int MODULE_KEYBOARD_NUMLOCK_OFF 5
public static final int MODULE_KEYBOARD_NUMLOCK_ON 4
public static final int MODULE_KEYBOARD_SCROLLLOCK_OFF 9
public static final int MODULE_KEYBOARD_SCROLLLOCK_ON 8

dioscuri.GUI
public static final int EMU_DEVICES_MOUSE_DISABLED 18
public static final int EMU_DEVICES_MOUSE_ENABLED 17
public static final int EMU_FLOPPYA_EJECT 4
public static final int EMU_FLOPPYA_INSERT 3
public static final int EMU_FLOPPYA_TRANSFER_START 15
public static final int EMU_FLOPPYA_TRANSFER_STOP 16
public static final int EMU_HD1_EJECT 6
public static final int EMU_HD1_INSERT 5
public static final int EMU_HD1_TRANSFER_START 7
public static final int EMU_HD1_TRANSFER_STOP 8
public static final int EMU_HD2_EJECT 22
public static final int EMU_HD2_INSERT 21
public static final int EMU_HD2_TRANSFER_START 19
public static final int EMU_HD2_TRANSFER_STOP 20
public static final int EMU_KEYBOARD_CAPSLOCK_OFF 12
public static final int EMU_KEYBOARD_CAPSLOCK_ON 11
public static final int EMU_KEYBOARD_NUMLOCK_OFF 10
public static final int EMU_KEYBOARD_NUMLOCK_ON 9
public static final int EMU_KEYBOARD_SCROLLLOCK_OFF 14
public static final int EMU_KEYBOARD_SCROLLLOCK_ON 13
public static final int EMU_PROCESS_RESET 2
public static final int EMU_PROCESS_START 0
public static final int EMU_PROCESS_STOP 1
public static final int GUI_RESET 99
public static final int GUI_X_LOCATION 200
public static final int GUI_Y_LOCATION 200
public static final int KEY_PRESSED 0
public static final int KEY_RELEASED 1
public static final int KEY_TYPED 2

dioscuri.module.*

dioscuri.module.ata.ATAChannel
public static final int IDE_MASTER_INDEX 0
public static final int IDE_SLAVE_INDEX 1

dioscuri.module.ata.ATAConstants
public static final int BOOT_CDROM 3
public static final int BOOT_DISKC 2
public static final int BOOT_FLOPPYA 1
public static final int BOOT_NONE 0
public static final int IDE_DMA_CHANNEL 3
public static final java.lang.String IDE_MODEL "Generic 1234 "
public static final int INDEX_PULSE_CYCLE 10
public static final boolean IS_CONNER_CFA540A_DEFINED false
public static final boolean IS_LOW_LEVEL_CDROM false
public static final int MAX_MULTIPLE_SECTORS 16
public static final int MAX_NUMBER_DRIVES_PER_CHANNEL 2
public static final int MAX_NUMBER_IDE_CHANNELS 4
public static final int NUM_BYTES_PER_BLOCK 512
public static final int PACKET_SIZE 12
public static final int PORT_IDE_ALT_STATUS_DEVICE 1014
public static final int PORT_IDE_CYLINDER_HIGH 501
public static final int PORT_IDE_CYLINDER_LOW 500
public static final int PORT_IDE_DATA 496
public static final int PORT_IDE_DRIVE_ADDRESS 1015
public static final int PORT_IDE_DRIVE_HEAD 502
public static final int PORT_IDE_ERROR_WPC 497
public static final int PORT_IDE_SECTOR_COUNT 498
public static final int PORT_IDE_SECTOR_NUMBER 499
public static final int PORT_IDE_STATUS_CMD 503
public static final int SECTORS_PER_BLOCK 128
public static final boolean SUPPORT_REPEAT_SPEEDUPS true
public static final boolean SUPPORTS_PCI false
public static final boolean WITH_WIN32 true

dioscuri.module.clock.Clock
public static final int TIMER_ARRAY_SIZE 10

dioscuri.module.cpu.CPU
public static final int REGISTER_CR0_AM 18
public static final int REGISTER_CR0_CD 30
public static final int REGISTER_CR0_EM 2
public static final int REGISTER_CR0_ET 4
public static final int REGISTER_CR0_MP 1
public static final int REGISTER_CR0_NE 5
public static final int REGISTER_CR0_NW 29
public static final int REGISTER_CR0_PE 0
public static final int REGISTER_CR0_PG 31
public static final int REGISTER_CR0_TS 3
public static final int REGISTER_CR0_WP 16
public static final int REGISTER_FLAGS_AF 4
public static final int REGISTER_FLAGS_CF 0
public static final int REGISTER_FLAGS_DF 10
public static final int REGISTER_FLAGS_IF 9
public static final int REGISTER_FLAGS_IOPL1 12
public static final int REGISTER_FLAGS_IOPL2 13
public static final int REGISTER_FLAGS_NT 14
public static final int REGISTER_FLAGS_OF 11
public static final int REGISTER_FLAGS_PF 2
public static final int REGISTER_FLAGS_SF 7
public static final int REGISTER_FLAGS_TF 8
public static final int REGISTER_FLAGS_ZF 6
public static final int REGISTER_GENERAL_HIGH 0
public static final int REGISTER_GENERAL_LOW 1
public static final int REGISTER_HIGH 0
public static final int REGISTER_INDEX_HIGH 0
public static final int REGISTER_INDEX_LOW 1
public static final int REGISTER_LOW 1
public static final int REGISTER_SEGMENT_HIGH 0
public static final int REGISTER_SEGMENT_LOW 1
public static final int REGISTER_SIZE_GENERAL 16
public static final int REGISTER_SIZE_INDEX 16
public static final int REGISTER_SIZE_SEGMENT 16
public static final int REGISTER_SIZE_SPECIAL 16
public static final int SEGMENT_OVERRIDE_CS 0
public static final int SEGMENT_OVERRIDE_DS 1
public static final int SEGMENT_OVERRIDE_ES 2
public static final int SEGMENT_OVERRIDE_SS 3

dioscuri.module.cpu32.AddressSpace
public static final int BLOCK_MASK 4095
public static final int BLOCK_SIZE 4096
public static final int INDEX_MASK -4096
public static final int INDEX_SHIFT 12
public static final int INDEX_SIZE 1048576

dioscuri.module.cpu32.ClassFile
public static final short ABSTRACT 1024
public static final short FINAL 16
public static final short INTERFACE 512
public static final int MAX_CONSTANT_POOL_SIZE 65536
public static final short PUBLIC 1
public static final short SUPER 32

dioscuri.module.cpu32.ConstantPoolInfo
public static final int CLASS 7
public static final int DOUBLE 6
public static final int FIELDREF 9
public static final int FLOAT 4
public static final int INTEGER 3
public static final int INTERFACEMETHODREF 11
public static final int LONG 5
public static final int METHODREF 10
public static final int NAMEANDTYPE 12
public static final int STRING 8
public static final int UTF8 1

dioscuri.module.cpu32.FASTCompiler
public static final int ELEMENT_COUNT 46
public static final int POPABLE_ELEMENT_COUNT 43
public static final int PROCESSOR_ELEMENT_ACFLAG 22
public static final int PROCESSOR_ELEMENT_ADDR0 38
public static final int PROCESSOR_ELEMENT_AFLAG 11
public static final int PROCESSOR_ELEMENT_CFLAG 9
public static final int PROCESSOR_ELEMENT_COUNT 39
public static final int PROCESSOR_ELEMENT_CPL 36
public static final int PROCESSOR_ELEMENT_CS 27
public static final int PROCESSOR_ELEMENT_DFLAG 16
public static final int PROCESSOR_ELEMENT_DS 29
public static final int PROCESSOR_ELEMENT_EAX 0
public static final int PROCESSOR_ELEMENT_EBP 5
public static final int PROCESSOR_ELEMENT_EBX 3
public static final int PROCESSOR_ELEMENT_ECX 1
public static final int PROCESSOR_ELEMENT_EDI 7
public static final int PROCESSOR_ELEMENT_EDX 2
public static final int PROCESSOR_ELEMENT_EIP 8
public static final int PROCESSOR_ELEMENT_ES 26
public static final int PROCESSOR_ELEMENT_ESI 6
public static final int PROCESSOR_ELEMENT_ESP 4
public static final int PROCESSOR_ELEMENT_EXECUTECOUNT 45
public static final int PROCESSOR_ELEMENT_FS 30
public static final int PROCESSOR_ELEMENT_GDTR 33
public static final int PROCESSOR_ELEMENT_GS 31
public static final int PROCESSOR_ELEMENT_IDFLAG 25
public static final int PROCESSOR_ELEMENT_IDTR 32
public static final int PROCESSOR_ELEMENT_IFLAG 15
public static final int PROCESSOR_ELEMENT_IOPL 18
public static final int PROCESSOR_ELEMENT_IOPORTS 37
public static final int PROCESSOR_ELEMENT_IOPORTWRITE 44
public static final int PROCESSOR_ELEMENT_LDTR 34
public static final int PROCESSOR_ELEMENT_MEMORYWRITE 43
public static final int PROCESSOR_ELEMENT_NTFLAG 19
public static final int PROCESSOR_ELEMENT_OFLAG 17
public static final int PROCESSOR_ELEMENT_PFLAG 10
public static final int PROCESSOR_ELEMENT_REG0 39
public static final int PROCESSOR_ELEMENT_REG1 40
public static final int PROCESSOR_ELEMENT_REG2 41
public static final int PROCESSOR_ELEMENT_RFLAG 20
public static final int PROCESSOR_ELEMENT_SEG0 42
public static final int PROCESSOR_ELEMENT_SFLAG 13
public static final int PROCESSOR_ELEMENT_SS 28
public static final int PROCESSOR_ELEMENT_TFLAG 14
public static final int PROCESSOR_ELEMENT_TSS 35
public static final int PROCESSOR_ELEMENT_VIFLAG 23
public static final int PROCESSOR_ELEMENT_VIPFLAG 24
public static final int PROCESSOR_ELEMENT_VMFLAG 21
public static final int PROCESSOR_ELEMENT_ZFLAG 12
public static final int VARIABLE_EXECUTE_COUNT_INDEX 10
public static final int VARIABLE_OFFSET 11

dioscuri.module.cpu32.FieldInfo
public static final int ENUM 16384
public static final int FINAL 16
public static final int PRIVATE 2
public static final int PROTECTED 4
public static final int PUBLIC 1
public static final int STATIC 8
public static final int SYNTHETIC 4096
public static final int TRANSIENT 128
public static final int VOLATILE 64

dioscuri.module.cpu32.FpuState
public static final int FPU_PRECISION_CONTROL_DOUBLE 2
public static final int FPU_PRECISION_CONTROL_EXTENDED 3
public static final int FPU_PRECISION_CONTROL_SINGLE 0
public static final int FPU_ROUNDING_CONTROL_DOWN 1
public static final int FPU_ROUNDING_CONTROL_EVEN 0
public static final int FPU_ROUNDING_CONTROL_TRUNCATE 3
public static final int FPU_ROUNDING_CONTROL_UP 2
public static final int FPU_TAG_EMPTY 3
public static final int FPU_TAG_SPECIAL 2
public static final int FPU_TAG_VALID 0
public static final int FPU_TAG_ZERO 1
public static final int STACK_DEPTH 8

dioscuri.module.cpu32.FpuState64
public static final int FPU_SPECIAL_TAG_DENORMAL 4
public static final int FPU_SPECIAL_TAG_INFINITY 3
public static final int FPU_SPECIAL_TAG_NAN 1
public static final int FPU_SPECIAL_TAG_NONE 0
public static final int FPU_SPECIAL_TAG_SNAN 5
public static final int FPU_SPECIAL_TAG_UNSUPPORTED 2

dioscuri.module.cpu32.JavaOpcode
public static final int AALOAD 50
public static final int AASTORE 83
public static final int ACONST_NULL 1
public static final int ALOAD 25
public static final int ALOAD_0 42
public static final int ALOAD_1 43
public static final int ALOAD_2 44
public static final int ALOAD_3 45
public static final int ANEWARRAY 189
public static final int ARETURN 176
public static final int ARRAYLENGTH 190
public static final int ASTORE 58
public static final int ASTORE_0 75
public static final int ASTORE_1 76
public static final int ASTORE_2 77
public static final int ASTORE_3 78
public static final int ATHROW 191
public static final int BALOAD 51
public static final int BASTORE 84
public static final int BIPUSH 16
public static final int BREAKPOINT 202
public static final int CALC_FROM_CONST_POOL 48879
public static final int CALOAD 52
public static final int CASTORE 85
public static final int CHECKCAST 192
public static final int D2F 144
public static final int D2I 142
public static final int D2L 143
public static final int DADD 99
public static final int DALOAD 49
public static final int DASTORE 82
public static final int DCMPG 152
public static final int DCMPL 151
public static final int DCONST_0 14
public static final int DCONST_1 15
public static final int DDIV 111
public static final int DLOAD 24
public static final int DLOAD_0 38
public static final int DLOAD_1 39
public static final int DLOAD_2 40
public static final int DLOAD_3 41
public static final int DMUL 107
public static final int DNEG 119
public static final int DREM 115
public static final int DRETURN 175
public static final int DSTORE 57
public static final int DSTORE_0 71
public static final int DSTORE_1 72
public static final int DSTORE_2 73
public static final int DSTORE_3 74
public static final int DSUB 103
public static final int DUP 89
public static final int DUP_X1 90
public static final int DUP_X2 91
public static final int DUP2 92
public static final int DUP2_X1 93
public static final int DUP2_X2 94
public static final int F2D 141
public static final int F2I 139
public static final int F2L 140
public static final int FADD 98
public static final int FALOAD 48
public static final int FASTORE 81
public static final int FCMPG 150
public static final int FCMPL 149
public static final int FCONST_0 11
public static final int FCONST_1 12
public static final int FCONST_2 13
public static final int FDIV 110
public static final int FLOAD 23
public static final int FLOAD_0 34
public static final int FLOAD_1 35
public static final int FLOAD_2 36
public static final int FLOAD_3 37
public static final int FMUL 106
public static final int FNEG 118
public static final int FREM 114
public static final int FRETURN 174
public static final int FSTORE 56
public static final int FSTORE_0 67
public static final int FSTORE_1 68
public static final int FSTORE_2 69
public static final int FSTORE_3 70
public static final int FSUB 102
public static final int GETFIELD 180
public static final int GETSTATIC 178
public static final int GOTO 167
public static final int GOTO_W 200
public static final int I2B 145
public static final int I2C 146
public static final int I2D 135
public static final int I2F 134
public static final int I2L 133
public static final int I2S 147
public static final int IADD 96
public static final int IALOAD 46
public static final int IAND 126
public static final int IASTORE 79
public static final int ICONST_0 3
public static final int ICONST_1 4
public static final int ICONST_2 5
public static final int ICONST_3 6
public static final int ICONST_4 7
public static final int ICONST_5 8
public static final int ICONST_M1 2
public static final int IDIV 108
public static final int IF_ACMPEQ 165
public static final int IF_ACMPNE 166
public static final int IF_ICMPEQ 159
public static final int IF_ICMPGE 162
public static final int IF_ICMPGT 163
public static final int IF_ICMPLE 164
public static final int IF_ICMPLT 161
public static final int IF_ICMPNE 160
public static final int IFEQ 153
public static final int IFGE 156
public static final int IFGT 157
public static final int IFLE 158
public static final int IFLT 155
public static final int IFNE 154
public static final int IFNONNULL 199
public static final int IFNULL 198
public static final int IINC 132
public static final int ILOAD 21
public static final int ILOAD_0 26
public static final int ILOAD_1 27
public static final int ILOAD_2 28
public static final int ILOAD_3 29
public static final int IMPDEP1 254
public static final int IMPDEP2 255
public static final int IMUL 104
public static final int INEG 116
public static final int INSTANCEOF 193
public static final int INVOKEINTERFACE 185
public static final int INVOKESPECIAL 183
public static final int INVOKESTATIC 184
public static final int INVOKEVIRTUAL 182
public static final int IOR 128
public static final int IREM 112
public static final int IRETURN 172
public static final int ISHL 120
public static final int ISHR 122
public static final int ISTORE 54
public static final int ISTORE_0 59
public static final int ISTORE_1 60
public static final int ISTORE_2 61
public static final int ISTORE_3 62
public static final int ISUB 100
public static final int IUSHR 124
public static final int IXOR 130
public static final int JSR 168
public static final int JSR_W 201
public static final int L2D 138
public static final int L2F 137
public static final int L2I 136
public static final int LADD 97
public static final int LALOAD 47
public static final int LAND 127
public static final int LASTORE 80
public static final int LCMP 148
public static final int LCONST_0 9
public static final int LCONST_1 10
public static final int LDC 18
public static final int LDC_W 19
public static final int LDC2_W 20
public static final int LDIV 109
public static final int LLOAD 22
public static final int LLOAD_0 30
public static final int LLOAD_1 31
public static final int LLOAD_2 32
public static final int LLOAD_3 33
public static final int LMUL 105
public static final int LNEG 117
public static final int LOOKUPSWITCH 171
public static final int LOR 129
public static final int LREM 113
public static final int LRETURN 173
public static final int LSHL 121
public static final int LSHR 123
public static final int LSTORE 55
public static final int LSTORE_0 63
public static final int LSTORE_1 64
public static final int LSTORE_2 65
public static final int LSTORE_3 66
public static final int LSUB 101
public static final int LUSHR 125
public static final int LXOR 131
public static final int MONITORENTER 194
public static final int MONITOREXIT 195
public static final int MULTIANEWARRAY 197
public static final int NEW 187
public static final int NEWARRAY 188
public static final int NOP 0
public static final int POP 87
public static final int POP2 88
public static final int PUTFIELD 181
public static final int PUTSTATIC 179
public static final int RET 169
public static final int RETURN 177
public static final int SALOAD 53
public static final int SASTORE 86
public static final int SIPUSH 17
public static final int SWAP 95
public static final int TABLESWITCH 170
public static final int WIDE 196
public static final int XXXUNUSEDXXX 186

dioscuri.module.cpu32.MethodInfo
public static final int ABSTRACT 1024
public static final int BRIDGE 64
public static final int FINAL 16
public static final int NATIVE 256
public static final int PRIVATE 2
public static final int PROTECTED 4
public static final int PUBLIC 1
public static final int STATIC 8
public static final int STRICT 2048
public static final int SYNCHRONIZED 32
public static final int SYTHETIC 4096
public static final int VARARGS 128

dioscuri.module.cpu32.MicrocodeSet
public static final int AAA 464
public static final int AAD 465
public static final int AAM 466
public static final int AAS 467
public static final int ADC 69
public static final int ADC_O16_FLAGS 74
public static final int ADC_O32_FLAGS 643
public static final int ADC_O8_FLAGS 102
public static final int ADD 20
public static final int ADD_O16_FLAGS 35
public static final int ADD_O32_FLAGS 202
public static final int ADD_O8_FLAGS 62
public static final int ADDR_2EAX 319
public static final int ADDR_2EBP 324
public static final int ADDR_2EBX 322
public static final int ADDR_2ECX 320
public static final int ADDR_2EDI 326
public static final int ADDR_2EDX 321
public static final int ADDR_2ESI 325
public static final int ADDR_2ESP 323
public static final int ADDR_2REG1 304
public static final int ADDR_4EAX 327
public static final int ADDR_4EBP 332
public static final int ADDR_4EBX 330
public static final int ADDR_4ECX 328
public static final int ADDR_4EDI 334
public static final int ADDR_4EDX 329
public static final int ADDR_4ESI 333
public static final int ADDR_4ESP 331
public static final int ADDR_4REG1 305
public static final int ADDR_8EAX 335
public static final int ADDR_8EBP 340
public static final int ADDR_8EBX 338
public static final int ADDR_8ECX 336
public static final int ADDR_8EDI 342
public static final int ADDR_8EDX 337
public static final int ADDR_8ESI 341
public static final int ADDR_8ESP 339
public static final int ADDR_8REG1 306
public static final int ADDR_AX 315
public static final int ADDR_BP 7
public static final int ADDR_BX 18
public static final int ADDR_CX 316
public static final int ADDR_DI 95
public static final int ADDR_DX 317
public static final int ADDR_EAX 307
public static final int ADDR_EBP 312
public static final int ADDR_EBX 310
public static final int ADDR_ECX 308
public static final int ADDR_EDI 314
public static final int ADDR_EDX 309
public static final int ADDR_ESI 313
public static final int ADDR_ESP 311
public static final int ADDR_IB 3
public static final int ADDR_ID 229
public static final int ADDR_IW 49
public static final int ADDR_MASK16 1
public static final int ADDR_REG1 303
public static final int ADDR_SI 43
public static final int ADDR_SP 318
public static final int ADDR_uAL 343
public static final int AND 57
public static final int BITWISE_FLAGS_O16 50
public static final int BITWISE_FLAGS_O32 191
public static final int BITWISE_FLAGS_O8 39
public static final int BOUND_O16 507
public static final int BOUND_O32 508
public static final int BSF 387
public static final int BSR 388
public static final int BSWAP 396
public static final int BT_MEM 375
public static final int BT_O16 376
public static final int BT_O32 377
public static final int BTC_MEM 384
public static final int BTC_O16 385
public static final int BTC_O32 386
public static final int BTR_MEM 381
public static final int BTR_O16 382
public static final int BTR_O32 383
public static final int BTS_MEM 378
public static final int BTS_O16 379
public static final int BTS_O32 380
public static final int CALL_ABS_O16_A16 177
public static final int CALL_ABS_O16_A32 350
public static final int CALL_ABS_O32_A16 349
public static final int CALL_ABS_O32_A32 351
public static final int CALL_FAR_O16_A16 139
public static final int CALL_FAR_O16_A32 347
public static final int CALL_FAR_O32_A16 346
public static final int CALL_FAR_O32_A32 348
public static final int CALL_O16_A16 28
public static final int CALL_O16_A32 471
public static final int CALL_O32_A16 470
public static final int CALL_O32_A32 472
public static final int CDQ 389
public static final int CLC 195
public static final int CLD 109
public static final int CLI 135
public static final int CLTS 622
public static final int CMC 463
public static final int CMOVA 453
public static final int CMOVC 448
public static final int CMOVG 461
public static final int CMOVL 458
public static final int CMOVNA 452
public static final int CMOVNC 449
public static final int CMOVNG 460
public static final int CMOVNL 459
public static final int CMOVNO 447
public static final int CMOVNP 457
public static final int CMOVNS 455
public static final int CMOVNZ 451
public static final int CMOVO 446
public static final int CMOVP 456
public static final int CMOVS 454
public static final int CMOVZ 450
public static final int CMPSB_A16 531
public static final int CMPSB_A32 532
public static final int CMPSD_A16 535
public static final int CMPSD_A32 536
public static final int CMPSW_A16 533
public static final int CMPSW_A32 534
public static final int CMPXCHG 394
public static final int CMPXCHG_O16_FLAGS 659
public static final int CMPXCHG_O32_FLAGS 660
public static final int CMPXCHG_O8_FLAGS 658
public static final int CMPXCHG8B 395
public static final int CPUID 616
public static final int CWD 143
public static final int DAA 468
public static final int DAS 469
public static final int DEC 77
public static final int DEC_O16_FLAGS 78
public static final int DEC_O32_FLAGS 642
public static final int DEC_O8_FLAGS 150
public static final int DIV_O16 132
public static final int DIV_O32 356
public static final int DIV_O8 188
public static final int EIP_UPDATE 2
public static final int ENTER_O16_A16 159
public static final int ENTER_O16_A32 486
public static final int ENTER_O32_A16 485
public static final int ENTER_O32_A32 487
public static final int F2XM1 713
public static final int FABS 711
public static final int FADD 702
public static final int FBCD2F 734
public static final int FCHECK0 751
public static final int FCHECK1 752
public static final int FCHOP 748
public static final int FCHS 710
public static final int FCLEX 749
public static final int FCMOVB 740
public static final int FCMOVBE 742
public static final int FCMOVE 741
public static final int FCMOVNB 744
public static final int FCMOVNBE 746
public static final int FCMOVNE 745
public static final int FCMOVNU 747
public static final int FCMOVU 743
public static final int FCOM 704
public static final int FCOMI 706
public static final int FCOS 728
public static final int FDECSTP 719
public static final int FDIV 709
public static final int FF2BCD 735
public static final int FFREE 733
public static final int FINCSTP 720
public static final int FINIT 750
public static final int FLDENV_14 736
public static final int FLDENV_28 737
public static final int FLOAD0_1 669
public static final int FLOAD0_L2E 671
public static final int FLOAD0_L2TEN 670
public static final int FLOAD0_LN2 674
public static final int FLOAD0_LOG2 673
public static final int FLOAD0_MEM_DOUBLE 665
public static final int FLOAD0_MEM_EXTENDED 666
public static final int FLOAD0_MEM_SINGLE 664
public static final int FLOAD0_PI 672
public static final int FLOAD0_POS0 675
public static final int FLOAD0_REG0 667
public static final int FLOAD0_REG0L 668
public static final int FLOAD0_ST0 662
public static final int FLOAD0_STN 663
public static final int FLOAD1_MEM_DOUBLE 679
public static final int FLOAD1_MEM_EXTENDED 680
public static final int FLOAD1_MEM_SINGLE 678
public static final int FLOAD1_POS0 683
public static final int FLOAD1_REG0 681
public static final int FLOAD1_REG0L 682
public static final int FLOAD1_ST0 676
public static final int FLOAD1_STN 677
public static final int FMUL 703
public static final int FPATAN 716
public static final int FPOP 700
public static final int FPREM 721
public static final int FPREM1 718
public static final int FPTAN 715
public static final int FPUSH 701
public static final int FRNDINT 725
public static final int FRSTOR_108 730
public static final int FRSTOR_94 729
public static final int FSAVE_108 732
public static final int FSAVE_94 731
public static final int FSCALE 726
public static final int FSIN 727
public static final int FSINCOS 724
public static final int FSQRT 723
public static final int FSTENV_14 738
public static final int FSTENV_28 739
public static final int FSTORE0_MEM_DOUBLE 687
public static final int FSTORE0_MEM_EXTENDED 688
public static final int FSTORE0_MEM_SINGLE 686
public static final int FSTORE0_REG0 689
public static final int FSTORE0_ST0 684
public static final int FSTORE0_STN 685
public static final int FSTORE1_MEM_DOUBLE 693
public static final int FSTORE1_MEM_EXTENDED 694
public static final int FSTORE1_MEM_SINGLE 692
public static final int FSTORE1_REG0 695
public static final int FSTORE1_ST0 690
public static final int FSTORE1_STN 691
public static final int FSUB 708
public static final int FUCOM 705
public static final int FUCOMI 707
public static final int FWAIT 506
public static final int FXAM 712
public static final int FXTRACT 717
public static final int FYL2X 714
public static final int FYL2XP1 722
public static final int HALT 505
public static final int IDIV_O16 147
public static final int IDIV_O32 358
public static final int IDIV_O8 357
public static final int IMUL_O16 352
public static final int IMUL_O32 353
public static final int IMULA_O16 107
public static final int IMULA_O32 355
public static final int IMULA_O8 354
public static final int IN_O16 392
public static final int IN_O32 393
public static final int IN_O8 116
public static final int INC 54
public static final int INC_O16_FLAGS 56
public static final int INC_O32_FLAGS 170
public static final int INC_O8_FLAGS 151
public static final int INSB_A16 548
public static final int INSB_A32 549
public static final int INSD_A16 552
public static final int INSD_A32 553
public static final int INSW_A16 550
public static final int INSW_A32 551
public static final int INT_O16_A16 100
public static final int INT_O16_A32 492
public static final int INT_O32_A16 491
public static final int INT_O32_A32 493
public static final int INT3_O16_A16 494
public static final int INT3_O16_A32 496
public static final int INT3_O32_A16 495
public static final int INT3_O32_A32 497
public static final int INTO_O16_A16 498
public static final int INTO_O16_A32 500
public static final int INTO_O32_A16 499
public static final int INTO_O32_A32 501
public static final int INVLPG 637
public static final int IRET_O16_A16 106
public static final int IRET_O16_A32 503
public static final int IRET_O32_A16 502
public static final int IRET_O32_A32 504
public static final int JA_O16 214
public static final int JA_O32 420
public static final int JA_O8 98
public static final int JC_O16 178
public static final int JC_O32 415
public static final int JC_O8 72
public static final int JCXZ 89
public static final int JECXZ 429
public static final int JG_O16 412
public static final int JG_O32 428
public static final int JG_O8 138
public static final int JL_O16 409
public static final int JL_O32 425
public static final int JL_O8 105
public static final int JNA_O16 404
public static final int JNA_O32 419
public static final int JNA_O8 99
public static final int JNC_O16 185
public static final int JNC_O32 416
public static final int JNC_O8 71
public static final int JNG_O16 411
public static final int JNG_O32 427
public static final int JNG_O8 156
public static final int JNL_O16 410
public static final int JNL_O32 426
public static final int JNL_O8 149
public static final int JNO_O16 403
public static final int JNO_O32 414
public static final int JNO_O8 398
public static final int JNP_O16 408
public static final int JNP_O32 424
public static final int JNP_O8 401
public static final int JNS_O16 406
public static final int JNS_O32 422
public static final int JNS_O8 201
public static final int JNZ_O16 136
public static final int JNZ_O32 418
public static final int JNZ_O8 23
public static final int JO_O16 402
public static final int JO_O32 413
public static final int JO_O8 397
public static final int JP_O16 407
public static final int JP_O32 423
public static final int JP_O8 400
public static final int JS_O16 405
public static final int JS_O32 421
public static final int JS_O8 399
public static final int JUMP_ABS_O16 93
public static final int JUMP_ABS_O32 345
public static final int JUMP_FAR_O16 142
public static final int JUMP_FAR_O32 344
public static final int JUMP_O16 75
public static final int JUMP_O32 430
public static final int JUMP_O8 70
public static final int JZ_O16 104
public static final int JZ_O32 417
public static final int JZ_O8 45
public static final int LAHF 173
public static final int LAR_O16 638
public static final int LAR_O32 639
public static final int LEAVE_O16_A16 160
public static final int LEAVE_O16_A32 489
public static final int LEAVE_O32_A16 488
public static final int LEAVE_O32_A32 490
public static final int LGDT_O16 227
public static final int LGDT_O32 631
public static final int LIDT_O16 633
public static final int LIDT_O32 632
public static final int LLDT 626
public static final int LMSW 634
public static final int LOAD_SEG_CS 76
public static final int LOAD_SEG_DS 14
public static final int LOAD_SEG_ES 59
public static final int LOAD_SEG_FS 301
public static final int LOAD_SEG_GS 302
public static final int LOAD_SEG_SS 5
public static final int LOAD0_ADDR 79
public static final int LOAD0_AH 46
public static final int LOAD0_AL 34
public static final int LOAD0_AX 6
public static final int LOAD0_BH 65
public static final int LOAD0_BL 131
public static final int LOAD0_BP 31
public static final int LOAD0_BX 25
public static final int LOAD0_CH 146
public static final int LOAD0_CL 137
public static final int LOAD0_CR0 224
public static final int LOAD0_CR2 244
public static final int LOAD0_CR3 245
public static final int LOAD0_CR4 246
public static final int LOAD0_CS 171
public static final int LOAD0_CX 30
public static final int LOAD0_DH 175
public static final int LOAD0_DI 53
public static final int LOAD0_DL 110
public static final int LOAD0_DR0 247
public static final int LOAD0_DR1 248
public static final int LOAD0_DR2 249
public static final int LOAD0_DR3 250
public static final int LOAD0_DR6 251
public static final int LOAD0_DR7 252
public static final int LOAD0_DS 51
public static final int LOAD0_DX 38
public static final int LOAD0_EAX 152
public static final int LOAD0_EBP 241
public static final int LOAD0_EBX 199
public static final int LOAD0_ECX 203
public static final int LOAD0_EDI 208
public static final int LOAD0_EDX 220
public static final int LOAD0_EFLAGS 121
public static final int LOAD0_ES 67
public static final int LOAD0_ESI 204
public static final int LOAD0_ESP 240
public static final int LOAD0_FLAGS 120
public static final int LOAD0_FPUCW 696
public static final int LOAD0_FPUSW 698
public static final int LOAD0_FS 242
public static final int LOAD0_GS 243
public static final int LOAD0_IB 8
public static final int LOAD0_ID 255
public static final int LOAD0_IW 13
public static final int LOAD0_MEM_BYTE 22
public static final int LOAD0_MEM_DWORD 169
public static final int LOAD0_MEM_QWORD 253
public static final int LOAD0_MEM_WORD 9
public static final int LOAD0_SI 48
public static final int LOAD0_SP 19
public static final int LOAD0_SS 134
public static final int LOAD1_AH 68
public static final int LOAD1_AL 73
public static final int LOAD1_AX 63
public static final int LOAD1_BH 64
public static final int LOAD1_BL 154
public static final int LOAD1_BP 129
public static final int LOAD1_BX 83
public static final int LOAD1_CH 145
public static final int LOAD1_CL 92
public static final int LOAD1_CX 61
public static final int LOAD1_DH 176
public static final int LOAD1_DI 87
public static final int LOAD1_DL 126
public static final int LOAD1_DX 108
public static final int LOAD1_EAX 192
public static final int LOAD1_EBP 271
public static final int LOAD1_EBX 222
public static final int LOAD1_ECX 219
public static final int LOAD1_EDI 223
public static final int LOAD1_EDX 217
public static final int LOAD1_ESI 272
public static final int LOAD1_ESP 270
public static final int LOAD1_IB 26
public static final int LOAD1_ID 168
public static final int LOAD1_IW 27
public static final int LOAD1_MEM_BYTE 88
public static final int LOAD1_MEM_DWORD 215
public static final int LOAD1_MEM_WORD 52
public static final int LOAD1_SI 90
public static final int LOAD1_SP 189
public static final int LOAD2_AL 298
public static final int LOAD2_AX 297
public static final int LOAD2_CL 299
public static final int LOAD2_EAX 296
public static final int LOAD2_IB 300
public static final int LODSB_A16 123
public static final int LODSB_A32 560
public static final int LODSD_A16 562
public static final int LODSD_A32 563
public static final int LODSW_A16 157
public static final int LODSW_A32 561
public static final int LOOP_CX 113
public static final int LOOP_ECX 509
public static final int LOOPNZ_CX 512
public static final int LOOPNZ_ECX 511
public static final int LOOPZ_CX 196
public static final int LOOPZ_ECX 510
public static final int LSL_O16 640
public static final int LSL_O32 641
public static final int LTR 624
public static final int MEM_RESET 0
public static final int MICROCODE_LIMIT 753
public static final int MOVSB_A16 112
public static final int MOVSB_A32 570
public static final int MOVSD_A16 572
public static final int MOVSD_A32 573
public static final int MOVSW_A16 221
public static final int MOVSW_A32 571
public static final int MUL_O16 133
public static final int MUL_O32 235
public static final int MUL_O8 187
public static final int NEG 182
public static final int NEG_O16_FLAGS 183
public static final int NEG_O32_FLAGS 657
public static final int NEG_O8_FLAGS 226
public static final int NOOP 193
public static final int NOT 128
public static final int OR 84
public static final int OUT_O16 230
public static final int OUT_O32 391
public static final int OUT_O8 103
public static final int OUTSB_A16 578
public static final int OUTSB_A32 579
public static final int OUTSD_A16 582
public static final int OUTSD_A32 583
public static final int OUTSW_A16 580
public static final int OUTSW_A32 581
public static final int POP_O16_A16 11
public static final int POP_O16_A32 515
public static final int POP_O32_A16 164
public static final int POP_O32_A32 516
public static final int POPA_A16 124
public static final int POPA_A32 527
public static final int POPAD_A16 231
public static final int POPAD_A32 528
public static final int POPF_O16_A16 517
public static final int POPF_O16_A32 519
public static final int POPF_O32_A16 518
public static final int POPF_O32_A32 520
public static final int PUSH_O16_A16 4
public static final int PUSH_O16_A32 513
public static final int PUSH_O32_A16 165
public static final int PUSH_O32_A32 514
public static final int PUSHA_A16 125
public static final int PUSHA_A32 525
public static final int PUSHAD_A16 232
public static final int PUSHAD_A32 526
public static final int PUSHF_O16_A16 521
public static final int PUSHF_O16_A32 523
public static final int PUSHF_O32_A16 522
public static final int PUSHF_O32_A32 524
public static final int RCL_O16 213
public static final int RCL_O16_FLAGS 212
public static final int RCL_O32 367
public static final int RCL_O32_FLAGS 648
public static final int RCL_O8 366
public static final int RCL_O8_FLAGS 647
public static final int RCR_O16 369
public static final int RCR_O16_FLAGS 650
public static final int RCR_O32 370
public static final int RCR_O32_FLAGS 651
public static final int RCR_O8 368
public static final int RCR_O8_FLAGS 649
public static final int RDMSR 618
public static final int RDTSC 619
public static final int REP_INSB_A16 554
public static final int REP_INSB_A32 555
public static final int REP_INSD_A16 558
public static final int REP_INSD_A32 559
public static final int REP_INSW_A16 556
public static final int REP_INSW_A32 557
public static final int REP_LODSB_A16 564
public static final int REP_LODSB_A32 565
public static final int REP_LODSD_A16 568
public static final int REP_LODSD_A32 569
public static final int REP_LODSW_A16 566
public static final int REP_LODSW_A32 567
public static final int REP_MOVSB_A16 127
public static final int REP_MOVSB_A32 574
public static final int REP_MOVSD_A16 576
public static final int REP_MOVSD_A32 577
public static final int REP_MOVSW_A16 130
public static final int REP_MOVSW_A32 575
public static final int REP_OUTSB_A16 584
public static final int REP_OUTSB_A32 585
public static final int REP_OUTSD_A16 588
public static final int REP_OUTSD_A32 589
public static final int REP_OUTSW_A16 586
public static final int REP_OUTSW_A32 587
public static final int REP_STOSB_A16 611
public static final int REP_STOSB_A32 612
public static final int REP_STOSD_A16 614
public static final int REP_STOSD_A32 615
public static final int REP_STOSW_A16 179
public static final int REP_STOSW_A32 613
public static final int REP_SUB_O16_FLAGS 238
public static final int REP_SUB_O32_FLAGS 239
public static final int REP_SUB_O8_FLAGS 237
public static final int REPE_CMPSB_A16 158
public static final int REPE_CMPSB_A32 537
public static final int REPE_CMPSD_A16 540
public static final int REPE_CMPSD_A32 541
public static final int REPE_CMPSW_A16 538
public static final int REPE_CMPSW_A32 539
public static final int REPE_SCASB_A16 596
public static final int REPE_SCASB_A32 597
public static final int REPE_SCASD_A16 600
public static final int REPE_SCASD_A32 601
public static final int REPE_SCASW_A16 598
public static final int REPE_SCASW_A32 599
public static final int REPNE_CMPSB_A16 542
public static final int REPNE_CMPSB_A32 543
public static final int REPNE_CMPSD_A16 546
public static final int REPNE_CMPSD_A32 547
public static final int REPNE_CMPSW_A16 544
public static final int REPNE_CMPSW_A32 545
public static final int REPNE_SCASB_A16 166
public static final int REPNE_SCASB_A32 602
public static final int REPNE_SCASD_A16 605
public static final int REPNE_SCASD_A32 606
public static final int REPNE_SCASW_A16 603
public static final int REPNE_SCASW_A32 604
public static final int RET_FAR_IW_O16_A16 153
public static final int RET_FAR_IW_O16_A32 483
public static final int RET_FAR_IW_O32_A16 482
public static final int RET_FAR_IW_O32_A32 484
public static final int RET_FAR_O16_A16 140
public static final int RET_FAR_O16_A32 480
public static final int RET_FAR_O32_A16 479
public static final int RET_FAR_O32_A32 481
public static final int RET_IW_O16_A16 114
public static final int RET_IW_O16_A32 477
public static final int RET_IW_O32_A16 476
public static final int RET_IW_O32_A32 478
public static final int RET_O16_A16 32
public static final int RET_O16_A32 474
public static final int RET_O32_A16 473
public static final int RET_O32_A32 475
public static final int ROL_O16 362
public static final int ROL_O16_FLAGS 653
public static final int ROL_O32 363
public static final int ROL_O32_FLAGS 654
public static final int ROL_O8 361
public static final int ROL_O8_FLAGS 652
public static final int ROR_O16 364
public static final int ROR_O16_FLAGS 655
public static final int ROR_O32 365
public static final int ROR_O32_FLAGS 656
public static final int ROR_O8 163
public static final int ROR_O8_FLAGS 162
public static final int SAHF 390
public static final int SAR_O16 198
public static final int SAR_O16_FLAGS 197
public static final int SAR_O32 360
public static final int SAR_O32_FLAGS 646
public static final int SAR_O8 359
public static final int SAR_O8_FLAGS 645
public static final int SBB 117
public static final int SBB_O16_FLAGS 122
public static final int SBB_O32_FLAGS 644
public static final int SBB_O8_FLAGS 181
public static final int SCASB_A16 590
public static final int SCASB_A32 591
public static final int SCASD_A16 594
public static final int SCASD_A32 595
public static final int SCASW_A16 592
public static final int SCASW_A32 593
public static final int SETA 437
public static final int SETC 236
public static final int SETG 445
public static final int SETL 442
public static final int SETNA 436
public static final int SETNC 433
public static final int SETNG 444
public static final int SETNL 443
public static final int SETNO 432
public static final int SETNP 441
public static final int SETNS 439
public static final int SETNZ 435
public static final int SETO 431
public static final int SETP 440
public static final int SETS 438
public static final int SETZ 434
public static final int SGDT_O16 628
public static final int SGDT_O32 627
public static final int SHL 80
public static final int SHL_O16_FLAGS 82
public static final int SHL_O32_FLAGS 216
public static final int SHL_O8_FLAGS 184
public static final int SHLD_O16 371
public static final int SHLD_O32 372
public static final int SHR 96
public static final int SHR_O16_FLAGS 97
public static final int SHR_O32_FLAGS 210
public static final int SHR_O8_FLAGS 211
public static final int SHRD_O16 373
public static final int SHRD_O32 374
public static final int SIDT_O16 630
public static final int SIDT_O32 629
public static final int SIGN_EXTEND_16_32 530
public static final int SIGN_EXTEND_8_16 111
public static final int SIGN_EXTEND_8_32 529
public static final int SLDT 625
public static final int SMSW 228
public static final int STC 194
public static final int STD 462
public static final int STI 101
public static final int STORE0_AH 60
public static final int STORE0_AL 24
public static final int STORE0_AX 12
public static final int STORE0_BH 58
public static final int STORE0_BL 81
public static final int STORE0_BP 17
public static final int STORE0_BX 15
public static final int STORE0_CH 144
public static final int STORE0_CL 94
public static final int STORE0_CR0 225
public static final int STORE0_CR2 261
public static final int STORE0_CR3 262
public static final int STORE0_CR4 263
public static final int STORE0_CS 258
public static final int STORE0_CX 29
public static final int STORE0_DH 174
public static final int STORE0_DI 47
public static final int STORE0_DL 115
public static final int STORE0_DR0 264
public static final int STORE0_DR1 265
public static final int STORE0_DR2 266
public static final int STORE0_DR3 267
public static final int STORE0_DR6 268
public static final int STORE0_DR7 269
public static final int STORE0_DS 37
public static final int STORE0_DX 42
public static final int STORE0_EAX 155
public static final int STORE0_EBP 257
public static final int STORE0_EBX 200
public static final int STORE0_ECX 205
public static final int STORE0_EDI 206
public static final int STORE0_EDX 209
public static final int STORE0_EFLAGS 119
public static final int STORE0_ES 55
public static final int STORE0_ESI 207
public static final int STORE0_ESP 256
public static final int STORE0_FLAGS 118
public static final int STORE0_FPUCW 697
public static final int STORE0_FPUSW 699
public static final int STORE0_FS 259
public static final int STORE0_GS 260
public static final int STORE0_MEM_BYTE 66
public static final int STORE0_MEM_DWORD 161
public static final int STORE0_MEM_QWORD 254
public static final int STORE0_MEM_WORD 21
public static final int STORE0_SI 40
public static final int STORE0_SP 33
public static final int STORE0_SS 141
public static final int STORE1_AH 287
public static final int STORE1_AL 218
public static final int STORE1_AX 86
public static final int STORE1_BH 290
public static final int STORE1_BL 286
public static final int STORE1_BP 284
public static final int STORE1_BX 282
public static final int STORE1_CH 288
public static final int STORE1_CL 180
public static final int STORE1_CS 291
public static final int STORE1_CX 280
public static final int STORE1_DH 289
public static final int STORE1_DI 172
public static final int STORE1_DL 285
public static final int STORE1_DS 91
public static final int STORE1_DX 281
public static final int STORE1_EAX 273
public static final int STORE1_EBP 277
public static final int STORE1_EBX 276
public static final int STORE1_ECX 274
public static final int STORE1_EDI 279
public static final int STORE1_EDX 275
public static final int STORE1_ES 85
public static final int STORE1_ESI 278
public static final int STORE1_ESP 10
public static final int STORE1_FS 293
public static final int STORE1_GS 294
public static final int STORE1_MEM_BYTE 234
public static final int STORE1_MEM_DWORD 295
public static final int STORE1_MEM_WORD 190
public static final int STORE1_SI 233
public static final int STORE1_SP 283
public static final int STORE1_SS 292
public static final int STOSB_A16 148
public static final int STOSB_A32 607
public static final int STOSD_A16 609
public static final int STOSD_A32 610
public static final int STOSW_A16 186
public static final int STOSW_A32 608
public static final int STR 623
public static final int SUB 16
public static final int SUB_O16_FLAGS 36
public static final int SUB_O32_FLAGS 167
public static final int SUB_O8_FLAGS 44
public static final int SYSENTER 620
public static final int SYSEXIT 621
public static final int UNDEFINED 661
public static final int VERR 635
public static final int VERW 636
public static final int WRMSR 617
public static final int XOR 41

dioscuri.module.cpu32.ModeSwitchException
public static final int PROTECTED_MODE 1
public static final int REAL_MODE 0
public static final int VIRTUAL8086_MODE 2

dioscuri.module.cpu32.PhysicalAddressSpace
public static final int SYS_RAM_SIZE 268435456

dioscuri.module.cpu32.Processor
public static final int AC_BIT4_NEQ 2
public static final int AC_LNIBBLE_MAX 3
public static final int AC_LNIBBLE_NZERO 5
public static final int AC_LNIBBLE_ZERO 4
public static final int AC_XOR 1
public static final int CLOCK_SPEED 50
public static final int CR0_ALIGNMENT_MASK 262144
public static final int CR0_CACHE_DISABLE 1073741824
public static final int CR0_FPU_EMULATION 4
public static final int CR0_MONITOR_COPROCESSOR 2
public static final int CR0_NOT_WRITETHROUGH 536870912
public static final int CR0_NUMERIC_ERROR 32
public static final int CR0_PAGING -2147483648
public static final int CR0_PROTECTION_ENABLE 1
public static final int CR0_TASK_SWITCHED 8
public static final int CR0_WRITE_PROTECT 65536
public static final int CR3_PAGE_CACHE_DISABLE 16
public static final int CR3_PAGE_WRITES_TRANSPARENT 8
public static final int CR4_DEBUGGING_EXTENSIONS 8
public static final int CR4_MACHINE_CHECK_ENABLE 64
public static final int CR4_OS_SUPPORT_FXSAVE_FXSTORE 512
public static final int CR4_OS_SUPPORT_UNMASKED_SIMD_EXCEPTIONS 1024
public static final int CR4_PAGE_GLOBAL_ENABLE 128
public static final int CR4_PAGE_SIZE_EXTENSIONS 16
public static final int CR4_PERFORMANCE_MONITORING_COUNTER_ENABLE 256
public static final int CR4_PHYSICAL_ADDRESS_EXTENSION 32
public static final int CR4_PROTECTED_MODE_VIRTUAL_INTERRUPTS 2
public static final int CR4_TIME_STAMP_DISABLE 4
public static final int CR4_VIRTUAL8086_MODE_EXTENSIONS 1
public static final int CY_GREATER_FF 8
public static final int CY_HIGH_BYTE_NZ 6
public static final int CY_HIGHBIT_BYTE 17
public static final int CY_HIGHBIT_INT 19
public static final int CY_HIGHBIT_SHORT 18
public static final int CY_LOW_WORD_NZ 5
public static final int CY_LOWBIT 16
public static final int CY_NOT_BYTE 2
public static final int CY_NOT_INT 4
public static final int CY_NOT_SHORT 3
public static final int CY_NTH_BIT_SET 7
public static final int CY_NZ 1
public static final int CY_OFFENDBIT_BYTE 20
public static final int CY_OFFENDBIT_INT 22
public static final int CY_OFFENDBIT_SHORT 21
public static final int CY_SHL_OUTBIT_BYTE 12
public static final int CY_SHL_OUTBIT_INT 14
public static final int CY_SHL_OUTBIT_SHORT 13
public static final int CY_SHR_OUTBIT 15
public static final int CY_TWIDDLE_FF 9
public static final int CY_TWIDDLE_FFFF 10
public static final int CY_TWIDDLE_FFFFFFFF 11
public static final int IFLAGS_HARDWARE_INTERRUPT 1
public static final int IFLAGS_PROCESSOR_EXCEPTION 2
public static final int IFLAGS_RESET_REQUEST 4
public static final int OF_ADD_BYTE 22
public static final int OF_ADD_INT 24
public static final int OF_ADD_SHORT 23
public static final int OF_BIT14_XOR_CARRY 9
public static final int OF_BIT15_DIFFERENT 14
public static final int OF_BIT15_XOR_CARRY 10
public static final int OF_BIT30_XOR_CARRY 11
public static final int OF_BIT31_DIFFERENT 15
public static final int OF_BIT31_XOR_CARRY 12
public static final int OF_BIT6_XOR_CARRY 7
public static final int OF_BIT7_DIFFERENT 13
public static final int OF_BIT7_XOR_CARRY 8
public static final int OF_HIGH_BYTE_NZ 6
public static final int OF_LOW_WORD_NZ 5
public static final int OF_MAX_BYTE 16
public static final int OF_MAX_INT 18
public static final int OF_MAX_SHORT 17
public static final int OF_MIN_BYTE 19
public static final int OF_MIN_INT 21
public static final int OF_MIN_SHORT 20
public static final int OF_NOT_BYTE 2
public static final int OF_NOT_INT 4
public static final int OF_NOT_SHORT 3
public static final int OF_NZ 1
public static final int OF_SUB_BYTE 25
public static final int OF_SUB_INT 27
public static final int OF_SUB_SHORT 26
public static final int PROC_EXCEPTION_AC 17
public static final int PROC_EXCEPTION_BP 3
public static final int PROC_EXCEPTION_BR 5
public static final int PROC_EXCEPTION_DB 1
public static final int PROC_EXCEPTION_DE 0
public static final int PROC_EXCEPTION_DF 8
public static final int PROC_EXCEPTION_GP 13
public static final int PROC_EXCEPTION_MAX 19
public static final int PROC_EXCEPTION_MC 18
public static final int PROC_EXCEPTION_MF_09 9
public static final int PROC_EXCEPTION_MF_10 16
public static final int PROC_EXCEPTION_NM 7
public static final int PROC_EXCEPTION_NP 11
public static final int PROC_EXCEPTION_OF 4
public static final int PROC_EXCEPTION_PF 14
public static final int PROC_EXCEPTION_SS 12
public static final int PROC_EXCEPTION_TS 10
public static final int PROC_EXCEPTION_UD 6
public static final int PROC_EXCEPTION_XF 19
public static final int STATE_MINOR_VERSION 0
public static final int STATE_VERSION 1
public static final int SYSENTER_CS_MSR 372
public static final int SYSENTER_EIP_MSR 374
public static final int SYSENTER_ESP_MSR 373

dioscuri.module.cpu32.SegmentFactory
public static final int DESCRIPTOR_TYPE_CODE_DATA 16
public static final int TYPE_ACCESSED 1
public static final int TYPE_CODE 8
public static final int TYPE_CODE_CONFORMING 4
public static final int TYPE_CODE_READABLE 2
public static final int TYPE_DATA_EXPAND_DOWN 4
public static final int TYPE_DATA_WRITABLE 2

dioscuri.module.dma.DMAModeRegister
protected static final int DMA_MODE_BLOCK 2
protected static final int DMA_MODE_CASCADE 3
protected static final int DMA_MODE_DEMAND 0
protected static final int DMA_MODE_SINGLE 1
protected static final int DMA_TRANSFER_READ 2
protected static final int DMA_TRANSFER_VERIFY 0
protected static final int DMA_TRANSFER_WRITE 1

dioscuri.module.keyboard.KeyboardInternalBuffer
protected static final int NUM_ELEMENTS 16

dioscuri.module.keyboard.TheKeyboard
protected static final int CONTROLLER_QUEUE_SIZE 5

dioscuri.module.motherboard.Motherboard
public static final int IOSPACE_EISA_SIZE 65536
public static final int IOSPACE_ISA_SIZE 1024
public static final int SYSTEM_CONTROL_PORT_A 146

dioscuri.module.pic.PIC
public static final int MASTER 0
public static final int SLAVE 1

dioscuri.module.pit.Counter
protected static final int LSB 1
protected static final int MSB 0

dioscuri.module.rtc.CMOS
protected static final int AMI_EXTEND_MEM_HIGH 53
protected static final int AMI_EXTEND_MEM_LOW 52
protected static final int ATA_POLICY_0_1 57
protected static final int ATA_POLICY_2_3 58
protected static final int AWARD_HD1_USERDEF 45
protected static final int CHECKSUM_HIGH_BYTE 46
protected static final int CHECKSUM_LOW_BYTE 47
protected static final int ELTORITO_BOOT 56
protected static final int ELTORITO_BOOT2 61
protected static final int FLOPPYDRIVE_TYPE 16
protected static final int IBM_BASE_MEM_HIGH 22
protected static final int IBM_BASE_MEM_LOW 21
protected static final int IBM_EQUIPMENT 20
protected static final int IBM_EXTEND_HD1 25
protected static final int IBM_EXTEND_HD2 26
protected static final int IBM_EXTEND_MEM_HIGH 24
protected static final int IBM_EXTEND_MEM_LOW 23
protected static final int IBM_EXTEND_MEM2_HIGH 49
protected static final int IBM_EXTEND_MEM2_LOW 48
protected static final int IBM_HD_DATA 18
protected static final int IBM_PS2_HD1_DATA 17
protected static final int IBM_PS2_HD2_DATA 19
protected static final int IBM_PS2_RTC_CENTURY 55
protected static final int IBM_RTC_CENTURY 50
protected static final int RTC_DATEOFMONTH 7
protected static final int RTC_DAYOFWEEK 6
protected static final int RTC_HOUR_ALARM 5
protected static final int RTC_HOURS 4
protected static final int RTC_MINUTE_ALARM 3
protected static final int RTC_MINUTES 2
protected static final int RTC_MONTH 8
protected static final int RTC_SECOND_ALARM 1
protected static final int RTC_SECONDS 0
protected static final int RTC_YEAR 9
protected static final int SHUTDOWN_STATUS 15
protected static final int STATUS_REGISTER_A 10
protected static final int STATUS_REGISTER_B 11
protected static final int STATUS_REGISTER_C 12
protected static final int STATUS_REGISTER_D 13

dioscuri.module.screen.Screen
protected static final int BLUE 2
protected static final int GREEN 1
protected static final int RED 0

dioscuri.module.serialport.ComPort
protected static final int RX_IDLE 0
protected static final int RX_POLL 1
protected static final int RX_WAIT 2

dioscuri.module.serialport.SerialPort
public static final int TOTALCOMPORTS 4

dioscuri.module.video.VideoCard
protected static final int X_TILESIZE 16
protected static final int Y_TILESIZE 24